• 関連の記事

「プロセス技術(エレクトロニクス)」関連の最新 ニュース・レビュー・解説 記事 まとめ

「プロセス技術(エレクトロニクス)」に関する情報が集まったページです。

HBMではなくLPDDRにこだわる:
「エッジでもLLMを動かす」 韓国新興DEEPX
エッジAI(人工知能)用チップの開発を手掛ける韓国のスタートアップDEEPXが、取り組みを活発化させている。将来的には、LLM(大規模言語モデル)を動作できるようにすることを目指すという。(2024/10/21)

スマホ/AI需要で好調:
TSMC、24年Q3は売上高が過去最高 熊本第2工場は25年Q1から建設へ
TSMCは、2024年第3四半期の業績を発表した。売上高は7596億9000万ニュー台湾ドル(約3兆5500億円/235億米ドル)で、前年同期比39.0%増、前四半期比12.8%増。純利益は3252億6000万ニュー台湾ドル(約1兆5200億円/101億米ドル)で、前年同期比54.2%増、前四半期比31.2%増だった。(2024/10/21)

頭脳放談:
第293回 Cerebrasが仕掛ける「1ウエハー=1チップ」のAIアクセラレーターはNVIDIAを超えるのか?
人工知能(AI)分野がノーベル賞を受賞するなど、相変わらずAIへの注目は高い。そんな中、「Cerebras Systems」という会社が、「Wafer-scale Integration」という1990年代に研究が盛んだった技術を使ってAIアクセラレーターを開発しているという。このWafer-scale integrationという技術の歴史と問題点、Cerebrasに勝ち目があるのかどうかを筆者が解説する。(2024/10/18)

長く映画産業を支えてきた映像投影技術を活用:
PR:9mm角で4K UHD対応 TIの最新ディスプレイ用ICがプロジェクタを変える
映画やゲームなど多様なコンテンツをプロジェクタで楽しむユーザーが増え、プロジェクタの小型化や高性能化が求められている。Texas Instrumentsが発表したチップセットは、同社の映像投影技術「DLP」を駆使した製品だ。ディスプレイコントローラICやDMD、PMICで構成され、小型で超低遅延の4K UHDプロジェクタを簡単に設計できる。(2024/10/7)

Axus Technologyが開発:
SiCを低コスト化できるか 米装置メーカーのCMP技術
米Axus Technologyが提供するCMP(化学機械研磨)装置は、SiCウエハーのコストを大幅に下げる可能性がある。(2024/10/1)

「4年で5ノード」の最終段階へ:
「Intel 18A」がファウンドリー事業の転換点に IFSトップが語る
Intelは、2025年前半に「Intel 18A」プロセスの最初の外部顧客がテープアウト予定だと発表した。進捗状況や今後の見通しについて、Intel Foundry Services(IFS) ゼネラルマネジャーであるKevin O’Buckley氏が、米国EE Timesのインタビューに応えた。(2024/9/3)

湯之上隆のナノフォーカス(75):
Intelはどこで間違えた? 〜2つのミスジャッジと不調の根本原因
Intelの業績が低迷している。業績以外でも、人員削減や「Raptor Lake」のクラッシュ問題など、さまざまな問題が露呈していて、Intelが厳しい状況に追い込まれていることが分かる。Intelはなぜ、このような状況に陥っているのか。そこには2つのミスジャッジと、そもそも根本的な原因があると筆者はみている。(2024/8/29)

16/12nm FinFET技術など採用:
TSMCがドイツ工場の起工式 自動車、産業向け半導体製造
TSMCは2024年8月20日(ドイツ時間)、ドイツ・ドレスデンに計画する半導体工場の起工式を行った。TSMCの28/22nmのプレーナーCMOSおよび、16/12nmのFinFETプロセス技術を導入した300mmウエハー工場となり、本格稼働時の生産能力は月産ウエハー4万枚となる予定。2027年末までの生産開始を目指している。(2024/8/21)

エイブリック 執行役員 兼 CPO 花沢聡氏:
PR:「アナデジ集積」で高付加価値化を加速するエイブリック ニッチ分野でトップシェアを狙う
エイブリックは、製品の高付加価値化を一段と加速する。得意とするアナログ回路技術に周辺のロジック回路を取り込むことで「プラスアルファ」の機能を実現し、高付加価値化を図る。2023年4月に統合した半導体設計会社SSCの大規模ロジック回路技術を活用する他、企画/開発組織を刷新。新製品の“ヒット率”を上げ、グローバルニッチな分野で確実にシェアを取りにいく戦略を強力に推し進める。(2024/8/20)

電子機器設計/組み込み開発メルマガ 編集後記:
順調なTSMCと遅れるIntel、ドイツの半導体新工場計画の今
Intelについては、最近の同社の状況から、工場建設の中止を懸念する声も上がっています。(2024/8/19)

大山聡の業界スコープ(79):
Intelの現状から学ぶべきこと
2024年8月1日、Intelの2024年第2四半期(4〜6月)決算が発表された。このところのIntelの決算からは、かつて半導体業界の王者として君臨していたころの勢いが感じられない。そこでIntelの現状を分析しながら、いろいろなことを学び取っていく。(2024/8/19)

「Snapdragon X Elite」って結局どうなのよ? ASUS JAPANの「Vivobook S 15」(S5507QA)を試して分かったこと
ASUS JAPANから、Qualcommの新SoC「Snapdragon X Elite」搭載ノートPC「Vivobook S 15」(S5507QA)が発売された。今回は本機の性能を中心に、新SoCの魅力を考えてみた。(2024/8/15)

「embedded world 2024」レポート:
エッジAIが本格化、「欧州最大規模」の組み込み技術展示会で見た最新トレンド
2024年4月9〜11日、欧州最大規模の組み込み技術展示会「embedded world 2024」が、ドイツ・ニュルンベルクで開催された。今回、EE Times JapanおよびEE Times Europe記者が現地で取材したレポート記事をまとめて紹介する。(2024/7/30)

CBA技術を採用したキオクシアの「BiCS FLASH第8世代」:
PR:2枚のウエハーを高精度に貼り合わせて高密度化 ストレージに新たな価値をもたらす3次元フラッシュメモリ
AI(人工知能)やDX(デジタルトランスフォーメーション)によってデータ量が爆発的に増加する中、NAND型フラッシュメモリの重要性がますます高まっている。キオクシアが量産を開始した3次元フラッシュメモリBiCS FLASH 第8世代は、2枚のウエハーを高精度に貼り合わせる「CMOS directly Bonded to Array(CBA)」という新技術を導入し、記憶密度と性能の向上に成功した。(2024/7/30)

AI用途も想定:
暗号処理を5000倍高速化 米新興のアクセラレーター
完全準同型暗号(FHE:Fully Homomorphic Encryption)アクセラレーターを手掛ける米スタートアップが、同社初となるチップをテープアウトした。非常に高速な演算処理が可能なSoC(System on Chip)で、ブロックチェーンやAI(人工知能)などのアプリケーションを想定している。(2024/7/26)

「N2」開発も順調:
TSMC、売上高/純利益が過去最高に 通期予想を上方修正
TSMCは、2024年第2四半期(4〜6月)の決算を発表した。売上高は6735億1000万ニュー台湾ドル(約3兆2000億円/205億米ドル)、純利益は2478億5000万ニュー台湾ドル(約1兆1800億円/754億米ドル)と、どちらも過去最高額を更新した。(2024/7/23)

リサイクルニュース:
押し出し加工を用いたマグネシウム合金スクラップ材のリサイクル技術を開発
マクルウは、産業技術総合研究所(産総研)マルチマテリアル研究部門 招聘(しょうへい)研究員の斎藤尚文氏、中津川勲氏と共同で、押し出し加工を利用したマグネシウム合金スクラップ材のリサイクル技術を開発した。(2024/7/17)

GaAs基板上に単層WS2を積層:
基板の表面処理で2次元半導体の電荷制御に成功
東北大学とNTT物性科学基礎研究所は、表面処理を施した3次元半導体に2次元半導体を積層することで、2次元半導体から3次元半導体への電子移動効率を向上させるとともに、2次元半導体の電荷状態を制御することに成功した。(2024/7/16)

NXPとVISはシンガポールに300mmウエハー工場建設:
中国か、中国以外か サプライチェーンの二分化が進む
半導体サプライチェーンは現在、地政学的理由やパンデミックの教訓から、地理的な多様化が加速し続けている。専門家は、その結果として世界の半導体サプライチェーンが主に「中国国内」「中国以外」の2つに分かれたと分析している。(2024/7/12)

研究開発の最前線:
擬一次元ファンデルワールス物質の大面積な薄膜を製造する新しい手法を開発
東北大学と慶應義塾大学は、ジルコニウムテルライドを用いて、大面積な薄膜を製造する新しい手法を開発した。「擬一次元ファンデルワールス物質」の1つで、半導体デバイスへの応用が注目される。(2024/7/11)

カーボンニュートラル:
太平洋セメントの工場から回収したCO2を骨材に固定、安藤ハザマ
安藤ハザマは、太平洋セメントで化学吸収法により試験回収したCO2を粒状化再生骨材に固定する実験を開始した。(2024/7/3)

A16技術やSoW技術の概要を発表:
AIによる技術革新を加速させるTSMCの最新技術
TSMCは横浜で開催した顧客向け技術発表会「TSMC Technology Symposium」に合わせ、テクノロジー専門メディア向けの技術説明会を開催した。説明会では、AIイノベーションを加速させるための半導体製造プロセス「TSMC A16」技術や「TSMC System-on-Wafer(TSMC-SoW)」などについて、その概要を紹介した。(2024/7/3)

25年4月に試作ライン稼働へ:
Rapidusの顧客は十分にいるのか 米アナリストの見解
RapidusのCEO(最高経営責任者)である小池淳義氏は、米国EE Timesのインタビューに応じ、2025年4月に2nm世代半導体製造のパイロットラインを稼働予定であると語った。Rapidusを訪問したアナリストによると、TSMCとSamsung Electronicsの新たな競合となるRapidusには、この先まだ大きな障壁が立ちはだかっているという。(2024/7/2)

Intelが詳細を発表:
「Intel 3」は転換点となるか ファウンドリー事業の正念場
Intelが、半導体製造プロセスノード「Intel 3」の詳細を発表した。2021年に製造戦略を刷新したIntelにとって、Intel 3はファウンドリービジネスにおける転換点になるのだろうか。(2024/6/26)

体内で自律動作するIoTなどへ応用:
消費電力0.9pW、電源電圧0.1Vのデジタル変換半導体集積回路
京都大学は、0.1Vで動作し消費電力が0.9pWの「デジタル変換半導体集積回路」を開発、22nmCMOSプロセス技術を用いて半導体集積回路を試作し、その有効性を確認した。涙液に含まれる糖分からのエネルギーでも駆動でき、体内環境で動作するIoTシステムなどへの応用を目指す。(2024/6/20)

毎秒640Gビットの無線伝送に成功:
サブテラヘルツ帯CMOS送受信用IC、東工大らが開発
東京工業大学と情報通信研究機構(NICT)の研究チームは、サブテラヘルツ帯CMOS送受信用ICを開発し、毎秒640Gビットの無線伝送に成功した。遠隔医療や自動運転など新サービスへの応用が期待される。(2024/6/19)

COMPUTEX TAIPEI 2024:
火花を散らす二大巨頭 AI用プロセッサの競争は激化
2024年6月4〜7日に、台湾で「COMPUTEX TAIPEI 2024」が開催された。AI(人工知能)用プロセッサの開発では、特にNVIDIAとIntel、両社のCEO(最高経営責任者)が火花を散らしていた。(2024/6/14)

TSMCの主催イベントで披露:
EDA大手3社のTSMC最先端プロセス向けツール AIも活用
EDAツール大手のCadence/Siemens EDA/Synopsysは、2024年4月にTSMCが開催したイベント「TSMC 2024 North America Technology Symposium」にて、TSMCの最新プロセス向けのEDAツールを披露した。(2024/6/14)

組み込み開発ニュース:
スマートフォンやIoT機器などの開発に最適な超小型CMOSオペアンプ
ロームは、超小型パッケージのCMOSオペアンプ「TLR377GYZ」を開発した。温度や圧力、流量などを検知および計測したセンサー信号の増幅に最適で、スマートフォンや小型IoT機器などの小型化に寄与する。(2024/6/11)

embedded world 2024:
「業界最小」の消費電力、ルネサスのローエンドマイコン「RA0」第1弾
ルネサス エレクトロニクスはドイツ・ニュルンベルクで開催された組み込み技術の展示会「embedded world 2024」で、「業界最小クラス」(同社)の消費電力を実現したというローエンドマイコン「RA0」シリーズの第1弾製品である「RA0E1」グループを出展し、その詳細を紹介した。(2024/6/11)

専門家は「Intelとの比較は困難」:
TSMCの「A16」は先端プロセス競争を変えるのか
業界のアナリストたちによれば、TSMCが2024年4月に発表した1.6nm世代の最新プロセス「A16」は、半導体製造プロセスにおける競争を変えるかもしれないという。(2024/6/6)

高耐圧GaNの技術を獲得へ:
Power Integrationsが縦型GaN新興メーカーの資産を買収
Power Integrationsが縦型GaNパワー半導体を手掛ける米国の新興メーカーOdyssey Semiconductor Technologies(以下、Odyssey)の資産を買収する。2024年7月に完了する見込みで、その後、Odysseyの主要従業員全員がPower Integrationsの技術組織に移籍する予定だ。(2024/5/29)

「人とくるまのテクノロジー展2024」で展示:
1台のワイヤレス充電器でMPP/EPP両規格に対応できるパターンコイル、TDK
TDKは「人とくるまのテクノロジー展2024」で、ワイヤレス充電規格であるMPP規格とEPP規格の両方に対応した薄型パターンコイルを展示する。1つの充電器でQi準拠の全てのスマートフォンを最大15Wで高速充電できるパターンコイルは「世界で初めて」(TDK)だという。自動車内の充電スポットなどへの利用を想定する。(2024/5/22)

「人とくるまのテクノロジー展 2024 YOKOHAMA」で展示:
アルミホイルより薄い! 厚さ6μmの低周波ノイズ対策用パーマロイシート
TDKは2024年5月16日、低周波ノイズ対策用の超薄型パーマロイシート「IPM series」の販売を開始した。高透磁率材「パーマロイ合金」を使用したもので、自動車の電動化に伴って増加する低周波ノイズの遮蔽に貢献する。(2024/5/20)

FAニュース:
スギノマシンがウォータジェット技術を応用した受託加工サービスを開始
スギノマシンは、ウォータジェット技術を応用した加工の受託サービスを開始した。金属部品の疲労強度を向上して寿命を延ばすCWJP技術と、金属AM部品のポストプロセスを一度で処理するCASF技術を用いた加工に対応する。(2024/5/16)

再び「世界のリーダー」に:
SamsungとTSMCの巨額投資、米国サプライチェーンへの影響は
Samsung Electronics(Samsung)とTSMCは2024年、相次いで米国での半導体製造への巨額投資を発表した。目的としては、現在アジアに偏っている最先端半導体の生産を分散させることや、米国のサプライチェーンにおける半導体の供給源を確保すること、米国の技術的独立を強化することなどが挙げられる。この投資は米国技術に大きな変化をもたらすとみられる。(2024/5/16)

課題は人材不足:
CHIPS補助金でTSMCがAI半導体製造へ 米国は半導体リーダーに返り咲けるか?
TSMCに対する米国の補助金によって、米国は初のAI(人工知能)チップの生産能力を手にし、技術的リーダーシップを確立する強力なチャンスを得るとみられる。ただし、米国の半導体産業の復活のためには労働力不足が依然として大きなマイナス要因だという。米国EE Timesのインタビューでアナリストらが語った。(2024/5/10)

ターゲットは産業機器:
「STM32」でエッジAIを加速 STの日本市場戦略
STマイクロエレクトロニクスは2024年4月、32ビットマイコンを中心とした同社の製品群「STM32」の戦略や各製品の特徴についての説明会を開催した。(2024/5/9)

アナログ半導体事業規模3000億円の実現に向け:
ミネベアミツミが日立のパワー半導体事業を買収完了、「ミネベアパワーデバイス」誕生
ミネベアミツミによる日立のパワー半導体事業買収が完了した。日立製作所の子会社である日立パワーデバイスを完全子会社化。また、日立製作所グループのパワーデバイス事業に関する海外販売事業の譲受も完了した。これに伴いミネベアミツミは、日立パワーデバイスの名称を「ミネベアパワーデバイス」に変更した。(2024/5/2)

ASMLの出荷発表から4カ月:
Intelが高NA EUV装置の組み立てを完了、Intel 14Aからの導入に向けて前進
Intelは2024年4月19日(米国時間)、高NA(開口数)EUV(極端紫外線)露光装置のプロトタイプ「TWINSCAN EXE:5000」の組み立てを完了したと発表した。装置は、米国オレゴン州ヒルズボロの「Fab D1X」に設置されていて、「Intelの将来のプロセスロードマップの生産に向けて現在、校正段階に入っている」という。(2024/4/19)

EUVリソグラフィの仕組みも:
重量はクジラ級! 超巨大な高NA EUV装置の設置をIntelが公開
Intelが高NA(開口数)EUV(極端紫外線)露光装置のプロトタイプ「TWINSCAN EXE:5000」の組み立てを完了し、その設置の様子や装置の概要、EUVリソグラフィの仕組みなどを説明する動画を公開した。(2024/4/19)

大原雄介のエレ・組み込みプレイバック:
FD-SOIがついに大規模量産で日の目を見るのか? STの戦略を読み解く
STMicroelectronicsが、次世代「STM32」MCUを、18nmのFD-SOIプロセスで製造すると発表した。この発表、実はかなり興味深い。それはなぜなのか、FD-SOIのこれまでの経緯をたどりながら解説したい。(2024/4/12)

組み込み開発ニュース:
次世代組み込みプロセッサ向け18nmプロセス技術、FD-SOIと相変化メモリがベース
STマイクロエレクトロニクスは、18nm FD-SOI技術と組み込み相変化メモリをベースにしたプロセス技術を発表した。現行品と比較して電力効率が50%以上向上し、不揮発性メモリの実装密度は2.5倍になっている。(2024/4/11)

材料技術:
生合成した共重合体とのブレンドで、ポリ乳酸の靭性と生分解性を改善
産業技術総合研究所は、ポリ乳酸の靭性と生分解性の改善に成功した。遺伝子組み換え大腸菌により糖類から生合成した共重合体をブレンドすることで、引張試験で200%を超える伸びに耐えられる。(2024/4/10)

脱炭素:
浮体式ペロブスカイト太陽電池の共同実証実験を東京都北区のプールで開始
積水化学工業は、エム・エム ブリッジや恒栄電設とともに、フィルム型ペロブスカイト太陽電池をプール上に設置するための共同実証実験を、東京都北区で2024年4月3日に開始したと発表した。(2024/4/8)

「M3 MacBook Air」は衝撃的なファンレスモバイル Windowsの世界よりも2歩先を進んでいる
M3チップを搭載したMacBook Airは、先代機同様にファンレス設計だ。この薄っぺらいボディーにこれだけのリッチなプロセッサを搭載しながらファンレスであるというのは、Windows PCの常識では考えられないことだ。3世代目となるApple Siliconの進化や実力が気になるところだ。早速レビューしよう。(2024/4/5)

TrendForceが調査:
TSMCの詳細判明、台湾地震による半導体工場の最新被害/稼働状況
台湾の市場調査会社TrendForceは2024年4月4日、前日に発生した台湾東部沖を震源とするマグニチュード7.2の地震による、半導体工場の最新の被害/稼働状況を発表した。(2024/4/5)

DRAMとの“距離”はまだ遠く:
「3D NANDの進化」に必要な要素とは
新興の不揮発性メモリと並行して、3D NAND型フラッシュメモリの開発も続いている。DRAMやSCM(ストレージクラスメモリ)との性能のギャップを少しでも埋めるためにどのような技術開発が進んでいるのだろうか。(2024/4/1)

車載電子部品:
「必要な性能の半導体が欲しいときに入手できない」、企画力向上急ぐ
自動車用先端SoC技術研究組合は新エネルギー・産業技術総合開発機構の「ポスト5G情報通信システム基盤強化研究開発事業/先端半導体製造技術の開発(委託)」の公募に「先端SoCチップレットの研究開発」を提案し、採択されたと発表した。(2024/4/1)

独自の半導体加工技術が生む強み:
「30年に3000億円規模」のシリコンキャパシター市場、後発ロームが見いだす勝機とは
ロームは2023年9月、独自技術を採用したシリコンキャパシターを発表し、同市場に参入した。後発として市場に挑むロームに戦略を聞いた。(2024/3/29)


サービス終了のお知らせ

この度「質問!ITmedia」は、誠に勝手ながら2020年9月30日(水)をもちまして、サービスを終了することといたしました。長きに渡るご愛顧に御礼申し上げます。これまでご利用いただいてまいりました皆様にはご不便をおかけいたしますが、ご理解のほどお願い申し上げます。≫「質問!ITmedia」サービス終了のお知らせ

にわかに地球規模のトピックとなった新型コロナウイルス。健康被害も心配だが、全国規模での臨時休校、マスクやトイレットペーパーの品薄など市民の日常生活への影響も大きくなっている。これに対し企業からの支援策の発表も相次いでいるが、特に今回は子供向けのコンテンツの無料提供の動きが顕著なようだ。一方産業面では、観光や小売、飲食業等が特に大きな影響を受けている。通常の企業運営においても面会や通勤の場がリスク視され、サーモグラフィやWeb会議ツールの活用、テレワークの実現などテクノロジーによるリスク回避策への注目が高まっている。